Verilog Interview Questions and Answers
Ques 16. What is the purpose of the 'always_comb' block in Verilog?
'always_comb' is used for combinational logic and automatically infers sensitivity to all inputs.
Example:
always_comb begin // Combinational logic... end
Is it helpful?
Add Comment
View Comments
Ques 17. Explain the difference between 'task' and 'function' in Verilog.
'task' is used for procedural tasks with no return value, while 'function' is used for functions that return a single value.
Example:
task myTask; // Task definition... endtask function int add(int a, int b); // Function definition... endfunction
Is it helpful?
Add Comment
View Comments
Ques 18. What is the purpose of the 'wire' data type in Verilog?
'wire' is used for connecting different modules and represents a net.
Example:
wire [7:0] bus;
Is it helpful?
Add Comment
View Comments
Ques 19. Explain the 'parameter' keyword in the context of module instantiation.
'parameter' allows the specification of constant values during module instantiation, facilitating parameterized modules.
Example:
module myModule #(parameter WIDTH=8) (input [WIDTH-1:0] data); // Module definition... endmodule
Is it helpful?
Add Comment
View Comments
Ques 20. What is the significance of the 'disable' keyword in Verilog?
'disable' is used to deactivate a named block, task, or function during runtime.
Example:
disable myTask; // Deactivates the task named 'myTask'
Is it helpful?
Add Comment
View Comments
Most helpful rated by users: